搜索

您当前位置: AG九游会 > 新闻中心 > 行业资讯

新闻中心
35000+字!这是全知乎写半导体产业链最全面的文章!

类别:行业资讯   发布时间:2023-11-02 02:45:47   浏览:

  35000+字!这是全知乎写半导体产业链最全面的文章!芯片承载着人类最先进的科技。如今中国已成为芯片设计强国,但在芯片制造上却处处被卡,芯片制造究竟难在哪里?

  时至今日,芯片已形成一套非常成熟专精的制造流程[1],它并非简单地一步到位,而是分为存在一定时间间隔和空间次序的多个阶段[2]。大体来说,芯片制造分为晶圆加工制造、前道工艺(芯片加工)及后道工艺(封装测试)三大环节,我国主要集中切入晶圆加工制造、后道封装测试两个环节,前道工艺大部分高端设备和材料基本均处于空白状态,所以高端芯片往往需要进口。

  若想获得一颗芯片,要先将石英砂做成薄薄的晶圆片(或者说衬底),再进行后续加工,最后切割为芯片。

  因此,晶圆加工制造是半导体产业最上游、最基础的行业,又分为硅的初步纯化、单晶硅的制造以及晶圆制造三个子产业。

  晶圆与威化饼干的英文都是wafer,这并非巧合, 打个比方来说,生产晶圆就像生产薄脆饼干,将面粉过筛,再与调料和水混合,经过搅拌成面团后,辊印成型成饼胚,再切割而成。晶圆制造也是同理,只不过,晶圆制造对原材料和工艺的要求极为严苛和复杂。

  由于硅在地壳中占比达到25.8%,储量丰富且易于获取,因此硅基半导体是产量最大、应用最广的半导体材料。但并非所有硅都能做芯片,芯片制程工艺的尺度已达到纳米级,任何细微的杂质都会影响芯片正常工作,因此芯片制造中使用的硅是纯度达到99.9999999%~99.999999999%(9~11个9)的高纯多晶硅。

  根据工艺,晶圆可粗略地分为抛光片、外延片、SOI片三大类。无论做成什么样的晶圆,其原点都是抛光片,因为其它类型晶圆均是在抛光片基础上二次加工的产物,比如在抛光片基础上进行退火处理就变为退火片,可拥有非常繁杂的分支。

  根据直径,晶圆又分为2英寸(50mm)、3英寸(75mm)、4英寸(100mm)、5英寸(125mm)、6英寸(150mm)、8英寸(200mm)与 12英寸(300mm)等规格。

  晶圆尺寸越大,每片晶圆可制造芯片数量就越多,单位芯片成本就越低。就像一张饼,饼越大,就能切出来越多同样大小的小块。

  此外,在晶圆上切割芯片,一些边缘区域无法利用,想象一下,在圆上切方,边缘不可能切出完整的方形。无论用哪种晶圆生产,芯片尺寸规格都已固定,因此晶圆尺寸越大,晶圆边缘损失也会越小,大尺寸晶圆可进一步降低芯片成本。

  那么,既然圆形的晶圆边缘有这么多区域无法利用,为什么不做成“晶方”?其实科学家并不是没有想过这个问题,而是受制于技术限制,成为历史遗留问题。

  首先,单晶生长的硅棒是圆柱形,切割为薄片后即为圆形;其次,圆柱形的单晶硅锭更便于运输,以免因磕碰导致材料损耗;另外,圆形物体便于后续步骤的操作;最后,即便制作成晶方,一些边缘仍然不可利用,计算表明,圆形边缘比方形浪费更少。[8]

  以8英寸与12英寸硅抛光片为例,在同样工艺条件下,12英寸晶圆可使用面积超过8英寸晶圆两倍以上,可使用率(衡量单位晶圆可生产芯片数量的指标)是8英寸硅片的2.5倍左右。[6]

  当然,晶圆尺寸越大,就越难造,对生产技术、设备、材料、工艺要求就越多。具体来说,关键技术指标包括局部平整度、边缘局部平整度、纳米形貌、氧含量、高度径向二阶导数等,而先进制程对晶圆翘曲度、弯曲度、电阻率、表面金属残余量等参数指标有更高要求。

  不只有硅能做成晶圆,目前,半导体材料已经发展到第四代。第一代半导体材料以Si(硅)、Ge(锗)为代表,第二代半导体材料以GaAs(砷化镓)、InP(磷化铟)为代表,第三代半导体材料以GaN(氮化镓)、SiC(碳化硅)为代表,第四代半导体材料以氮化铝(AlN)、氧化镓(Ga2O3)、金刚石(C)为代表。

  纵观全球硅片市场,主要由国际厂商占据,市场集中度高,2021年全球硅片市场CR5为94%,排名前五厂商分别为日本信越化学(Shin-Etsu)、 日本胜高(SUMCO)、中国台湾环球晶圆(Global Wafers)、德国世创(Siltronic)、 韩国鲜京矽特隆(SK Siltron)。[9]

  反观国内方面,技术薄弱、业务规模小、集中度较低,产品多以8英寸及以下为主,国内半导体硅片企业主要包括沪硅产业、中环股份、立昂微、中晶科技、有研硅、麦斯克等,单一厂商市场占有率均不超过10%,且以8英寸及以下尺寸硅片为主。12英寸晶圆是近两年中国产业重点:比如,粤芯半导体是专注于模拟芯片领域和进入全面量产的12英寸芯片制造企业,计划总投资370亿元[10];再如,增芯科技月加工2万片12英寸智能传感器晶圆量产线]

  从数据上来看,国产硅片市场规模2019年~2021年连续超过10亿美元,2021年达16.56亿美元,同比增长24.04%,预计2022年可达19.22亿美元。[12]

  从全球第二代半导体(GaAs、InP)衬底和第三代半导体(GaN、SiC)衬底情况来看,国内已拥有大量相关企业,但整体产能规模与国际存在差距。

  “这里好像我想象中的天堂……只不过有更多的机器人。”这是一位专家对于半导体制造工厂的评价。[14]

  首先,有设备才能谈制造,在晶圆厂资本开支中,晶圆加工设备的资本开支也最大,占比为70%~80%。[15]

  芯片生产过程中,有成千上万台工艺设备在同时运行,可以说,造设备难,让这些设备有秩序地生产起来更难。

  芯片前期工艺包括光刻、干蚀刻、湿蚀刻、化学气相沉积、物理气相沉积、等离子冲洗、湿洗、热处理、电镀处理、化学表面处理和机械表面处理等,其中多个工艺会重复使用,非常复杂。

  每个前期工艺都对应着相应设备,包括光刻机、涂胶显影机、刻蚀机、薄膜沉积设备、离子注入设备、热处理设备(氧化退火设备)、化学机械平摊(CMP)设备、清洗设备、过程检测设备等。

  前期加工中,设备主要围绕制程工艺选型,也就是时常被提起的28nm、14nm、10nm、7nm、4nm、3nm……制程越小,制造越困难,对设备要求也越高。目前,28nm是行业分水岭,比28nm更先进的是先进制程,反之则是成熟制程。

  在国际设备和系统路线图(IRDS)中,全面地反应了各制程节点所需系统级新技术,也就是说,未来几年内最先进制程需要用到什么设备也已被决定,而IRDS也会伴随制程升级而不断更新版本。

  从价值分量上来看,光刻、刻蚀和薄膜沉积是前期加工中最主要三个环节,2021年光刻机、刻蚀机和薄膜沉积设备(含CVD、ALD、PVD)投资占比分别为20%、25%和22%,合计占比超设备总支出的60%。[17]

  以下,果壳硬科技将对光刻机、涂胶显影机、刻蚀机、薄膜沉积设备、热处理设备(氧化退火设备)、离子注入设备、化学机械平摊(CMP)设备、清洗设备、过程检测设备几类价值分量最高的九种设备进行详细剖析。

  光刻机是芯片制造中最庞大、最精密复杂、难度最大、价格最昂贵的设备,光刻成本占芯片总制造成本的三分之一,耗费时间约占整个硅片生产时间的40%~60%,而它也决定了芯片上晶体管能做多小。[19]

  光刻设备是一种投影曝光系统,由紫外光源、光学镜片、对准系统等部件组装而成[20],其原理是将光掩模版(Mask)上设计好的集成电路图形(宏观)通过光线曝光印制到硅衬底光感材料(微观)上,实现图形转移。其中,光掩模相当于是相机底片,它要比芯片大上许多,也是通过光刻而来,不过通常采用无掩模直写光刻制造。

  光刻的思想来源自于印刷技术,不同的是,印刷通过墨水在纸上的光反射率变化记录信息,光刻则采用光与光敏物质的光化学反应实现对比度变化[21]。打个比方来说,光刻机就是一种巨型单反相机,能够将光掩模版上图形缩小几百万倍,并通过光化学反应缩小转印到晶圆上。[22]

  光刻技术先后经历接触式光刻、接近式光刻、全硅片扫描投影式光刻、分步重复投影式光刻到目前的步进扫描投影式[23],而光源经历了五次波长迭代:从最初紫外波段的高压放电汞灯g-line(436 nm)到 i-line(365 nm),发展到深紫外(DUV)波段的准分子激光器KrF(248 nm)以及 ArF(193 nm),再到最先进的13.5nm极紫外光(EUV)。[24]

  为什么光刻机那么难造,一个挑战是进一步提升紫外光刻机性能研制难度高、造价高昂,从第一代光刻机到最先进的第五代光刻机,光源波长已从436nm缩短至13.5nm,除了难以产生光源,光束传输中极紫外光的衰减和光学元件表面粗糙控制都是极大难题;另一个挑战是芯片二维密度无限制提高必然会遇到量子极限,芯片两条线上电子的运行规律的前提是不相互干扰,而当硅芯片密度在物理尺度上缩小至1nm以下时,将会受到干扰而不再按照经典电子学规律运动,这无疑遭受巨大挑战。[25]

  不止如此,在良率压力下,还要保证芯片足够便宜[26]。比如说,英特尔一颗CPU设计文件普遍在10GB以上,而阿斯麦(ASML)的NXT:2050i每小时可曝光295片300mm(12英寸)晶圆[24],Intel Ice Lake系列CPU单12英寸晶圆能切割出大约485颗芯片,这样情况下每小时极限能够曝光14. 3万颗芯片,这样的制造能力才能够将单颗CPU成本降至大众能承受的几十到上千美元。[25]

  计算光刻:实际生产中很难让每次光刻模式都完全正确,每一次光刻过程中都可能会发生颗粒干扰、折射或其它物理/化学缺陷,为了得到确切图案,就需要通过将算法模型与系统和测试晶圆数据相结合,这个过程被称作计算光刻;[27]

  对焦性能:光刻机中核心部件就是镜头,这并非一般镜头,而是高至2m、直径1m的庞大镜头,这些镜头的对焦性能是成像质量和产品良率的关键,随着芯片线宽不断缩小,加之二次成像(DP)光刻工艺应用越来越多,对光刻机对焦性能要求越来越严苛;[28]

  工艺优化:制程节点每前进一步,都会伴随大量工艺优化,比如说,制程工艺从20nm/16nm/14nm开始,设计规则周期已小于光刻机分辨率极限,此时光刻机开始采用双重或多重曝光技术、光源掩模协同优化、负显影工艺等工艺;浸没式光刻技术虽然支持了45nm/40nm、32 nm/28nm、20nm/16nm/14nm、10nm和7nm五个主要技术节点[29],但从5nm开始,到3nm、2.1nm甚至1nm,大多数中后段层次和前段的鳍和栅极的剪切层次都开始采用极紫外光刻工艺实现。[30]

  光刻机在半导体设备价值链中占比高达20%,目前,业界主要光刻机公司,分别是荷兰ASML(阿斯麦)、日本Nikon(尼康)、日本Canon(佳能)。[22]

  市场方面,ASMLAG九游会、Nikon、Canon三家基本垄断市场,2022年ASML出货量占据全球出货量的82%,Canon占10%,Nikon占8%。其中,ASML光刻机种类齐全,是全球唯一能够生产EUV光刻机的公司,目前最小制程达到3nm;Nikon集中于DUV光刻机,也可生产浸没式光刻机;Canon的产品则集中在中低端。[31]

  从具体数据来看,2022年,ASML、Nikon、Canon三家集成电路用光刻机总出货量为551台,较2021年的478台增长15%,EUV、ArFi、ArF三个高端机型共出货157台,较2021年的152台增长约3%。此外,EUV光刻机ASML市占率达100%,ArFi光刻机ASML市占率达95%以上,ArF光刻机ASML市占率达87%以上,KrF光刻机ASML市占率达72%以上,i线光刻机ASML市占率达23%以上。[32]

  涂胶显影(或涂覆显影)设备虽在结构上比不上光刻机的复杂程度,但也不可或缺,它是光刻过程中必要的设备。[21]

  对光刻工艺来说,晶圆上光刻胶涂覆的厚度和均匀性至关重要,直接影响着后续光刻工艺质量,从而影响芯片成品的性能、良率和可靠性[33]。所以,怎么涂好光刻胶是一门学问,负责涂覆光刻胶的设备便是涂胶显影设备。

  不同光源对涂胶显影设备需求不同,早期低端芯片制造往往单独使用涂胶显影设备(Off Line),随着200mm(8英寸)及以上大型产线投入应用,现代半导体生产中,多数涂胶显影设备与光刻系统联线生产(In Line)[34],而它则与光刻技术共进退,正伴随光刻精度提升而增加技术难度。

  涂胶显影设备并非一种设备,而是一类设备的称呼,光刻工艺中涂胶显影流程包括HMDS(六甲基二硅氮烷,增粘剂)预处理、涂胶、前烘、曝光、后烘、显影和坚膜,其中用到主要设备有涂胶、曝光和显影3种设备。

  涂胶显影设备结构复杂,实现难度高,不同厂商对设备结构及形式均有自己的理解,但基本均由单元模块组成,且功能类似,包含数十个功能模块组及配套机器人、数百个功能单元、数万个零部件,如盒站单元CS、盒站机械手臂CSR、工艺机器人手臂PSR、涂胶单元COT、显影单元DEV、热烘/冷却OVEN单元、对中单元CA、边部曝光单元WEE等,此外,还涵盖机械运动、温湿度及内环境控制、系统调度及控制、化学反应及化学品管控等多学科技术。[21]

  涂胶显影机在半导体设备价值链中占比约为5%,从全球来看,日本TEL(东京电子)、德国SUSS(休斯微技术)、奥地利EVG及国内沈阳芯源等公司均有成熟方案,不过TEL基本处于垄断地位。

  从数据上来看,2019年TEL占据全球涂胶显影设备近87%市场份额,DNS(迪恩士)和其它企业则占其余13%市场份额;2019年TEL占据国内涂胶显影设备近91%市场份额,DNS则占5%,国产芯源微产品仅占4%。[35]

  对国产来说,涂胶显影设备销售难点在于下游客户端工艺验证,由于涂胶显影设备与光刻机高度联动,因此设备商需在不影响下游晶圆正常生产情况下,提供光刻机、掩模版、检测设备及程序等资源配合,验证流程复杂且冗长,加大厂商应用难度。[36]

  刻蚀机与光刻机是一对好基友,二者都决定着芯片成品的性能,比如说,想要制造5nm芯片,光刻机和刻蚀机都要具有5nm工艺能力。

  光刻机的原理是用光将掩模版电路结构复制到晶圆上,刻蚀机则按光刻机复制的结构在晶圆上微观雕刻出沟槽或接触孔。打个比方,光刻机就像工匠在木板上划线,刻蚀机则按照木板上划线进行雕花。

  刻蚀过程中,晶圆会被烘烤和显影,一些抗蚀剂会被冲走,从而露出开放通道的3D图案。迄今为止,纳米尺度的芯片已由数十层甚至上百层结构组成,在这一过程中,如何保证精确地形成完整稳定的芯片结构是难点,避免在刻蚀过程中破坏多层微芯片底层结构或在结构中创建出空腔。[27]

  刻蚀分为湿法刻蚀和干法刻蚀两种,湿法刻蚀使用化学制剂清洗晶圆,干法刻蚀基于气体暴露晶圆上图案。自80年代芯片不断微缩,湿法刻蚀局限性逐渐凸显,包括不能运用在3微米以下图形、容易导致刻蚀图形变形、液体潜在毒性和污染、需额外清洗和干燥步骤等,因此在特定环节逐渐法刻蚀所取代,目前两种刻蚀机在各自领域发挥重要作用。[37]

  干法刻蚀又分为等离子体刻蚀、反应离子刻蚀、离子束刻蚀三种方法,依据其不同特性,应用在工艺步骤中,其中,电容性等离子体刻蚀和电感性等离子体刻蚀两种设备涵盖了主要刻蚀应用。

  刻蚀机制造技术难度极大,就拿等离子体刻蚀机来讲,便需用到电感耦合等离子体源,为保证等离子体质量,需超高的真空度。

  刻蚀机在半导体设备价值链中占比高达25%,市场增速也非常明显。Transparency Market Research数据显示,2022年全球半导体刻蚀设备市场约为113亿美元,预计从2023年到2031年将以7.6%的复合年增长率增长,到2031年达到217亿美元,增长主要驱动力在于刻蚀机在逻辑/存储领域的重要性越来越强。[38]

  刻蚀机被国际巨头所垄断,Gartner数据显示,2021年全球刻蚀设备行业前三名为Lam Research(泛林半导体)、Tokyo Electron(东京电子)、Applied Materials(应用材料),三者总共占据90%以上市场份额,其中LAM市场占有率达46%,处于领先地位。[17]

  国内刻蚀机供应多数为国际品牌,而国外刻蚀机在中国售价一般可达每台几百万人民币,之所以占据这样的统治地位,是因为早在多年以前,它们就已开始不断整合兼并,谋取垄断溢价。比如说,应用材料公司曾与东京电子合并,泛林半导体曾与科磊也谋求合并,试图强强联合打造联合体。[39]

  当然,刻蚀机领域,国产不可能一蹴而就。刻蚀机对加工精度要求极高,比如说,16nm等离子体刻蚀机的加工尺度只有头发丝的五千分之一,而其对加工精度和重复性的要求更要达到头发丝的五万分之一。这并非单独刻蚀机领域的问题,而是与国内精密加工机床等设备发展相关联[39]。目前,国内中微半导体、北方微电子、金盛微纳科技等公司已逐渐实现主流制程设备出货,不断追赶国外巨头。

  薄膜沉积(Thinfilm Deposition)是将1µm(微米)或更小分子/原子材料的薄膜覆盖到晶圆表面的技术,这一层薄膜可以让原本非导电的晶圆具备导电性。

  打个比方来说,就像利用物理或化学的方法,将电子气体变成固体,从空中均匀地撒下,最终形成一层薄如白纸的膜,随后精细的电路都会绘制在这张白纸上。[40]

  PVD是通过物理方法如真空蒸发、溅射镀膜等方式形成薄膜,主要用于沉积金属及金属化合物薄膜,已广泛应用于集成电路领域的Ti、TiN、Al等金属工艺,先进封装领域的Fan-out、Ti/Cu-Copper Pillar、TiW/Au-Gold Bump,功率半导体领域的Si基、SiC基IGBT和GCT等器件,微机电系统领域的Ti、Ni、 NiV、Ag、Al、Cr、TiW、SiO2、ITO等薄膜工艺。

  CVD是通过混合化学气体发生反应,从而向衬底表面沉积薄膜的工艺,主要用于沉积介质薄膜,已广泛应用于制备SiO2、Si3N4、SiCN、SiON、磷硅玻璃、硼硅玻璃、硼磷硅玻璃等介质薄膜材料,Si、PolySi、Ge、SiGe、GaAs、InP、GaN、SiC等半导体薄膜材料以及W、Al、Cu、Ti、TiN、金属硅化物等金属化薄膜材料。[41]

  薄膜沉积制备技术类型极多,PVD包括蒸发(蒸镀)、溅射、离子束工艺设备,CVD则包括热化学气相沉积(APCVD、LPCVD、MOCVD)、金属气相沉积(MCVD)、等离子体气相沉积(PECVD)、原子层沉积(ALD)等,根据薄膜所需材料不同,生产所用工艺设备也不同,大致来说:

  PVD:150mm硅片时期多以单片单腔室形式为主,而后溅射设备逐渐取代了真空蒸镀设备,随IC技术发展,更多技术引入到磁控溅射设备中,射频PVD设备和离子化PVD设备也同步得到发展;

  CVD:微米时代,多采用常压化学气相沉积设备(Atmospheric Pressure CVD,APCVD),亚微米技术主流设备则是低压化学气相沉积设备(Low Pressure CVD,LPCVD),90nm以后等离子体增强化学气相沉积设备(Plasma Enhanced CVD,PECVD)扮演主要角色,65nm以后原子层沉积(Atomic Layer Deposition,ALD)需求量不断提升。[41]

  薄膜沉积在制造设备中价值比重很高,其中CVD约为17%(ALD为4%),PVD约为5%,与此同时,薄膜沉积设备行业依然是垄断度较高的产业。

  全球市场方面,CVD领域美国应用材料(AMAT)、泛林半导体(Lam Research)、东京电子(TEL)三家合计包揽全球70%市场份额,其中先进制程所必需的ALD设备由东京电子(TEL)、先晶半导体(ASM)两家公司包揽全球近50%市场份额;PVD领域主要被美国应用材料(AMAT)、瑞士Evatec、日本爱发科(Ulvac)所垄断,其中应用材料占比近85%。[42]

  国内在薄膜沉积领域的竞争方式与国外巨头不同,国外巨头产品丰富,技术覆盖面广,而国内则主要在细分领域进行差异化竞争,如拓荆科技、中微主要产品为CVD,北方华创主要产品是PVD,微导纳米主要产品是ALD,盛美半导体主要产品是电镀产品。[41]

  芯片制造过程中,有许多涉及700℃~1200℃的高温热处理步骤,这些工艺通常在高温炉中进行,包括氧化、扩散、退火等主要工艺。[43]

  芯片制造过程通常由氧化工艺开始,也是最重要的加热过程之一。当晶圆暴露在大气时,其中物质会与氧气形成氧化膜,就像铁暴露在大气中会氧化生锈一样。因此,氧化的作用就是在晶圆表面形成一层保护膜,保护晶圆不受化学杂质影响、避免漏电流进入电路、预防离子植入过程中的扩散、防止晶圆在刻蚀时滑脱。[44]

  扩散工艺主要作用是在高温条件下对晶圆掺杂,不过这主要存在于20世纪70年代前的早期工艺,彼时芯片图形特征尺寸大多为10μm数量级,而现在先进的芯片生产中,除了特定情况已很少使用扩散掺杂工艺。

  退火工艺则是将硅片放于高温环境一段时间,使其表面或内部微观结构发生变化,它通常与离子注入、薄膜沉积、金属硅化物形成等工艺结合。

  热处理设备在半导体设备价值链中占比约3%,全球热处理设备市场则被寡头垄断,美国应用材料(Applied Materials)、东京电子(Tokyo Electrion)、日本同业国际电气(Kokusai Electric)三家合计市场份额超过80%,而国内非激光退火类设备屹唐半导体市占率5%,北方华创市占率0.2%。[46]

  让不导电的纯硅成为半导体,就必然需要向硅内加入诸如氮、磷等物质,使之形成PN结(PN junction,一种半导体结构),再以此创建晶体管,形成各种半导体器件。其中,人为向硅内加入元素的过程就是掺杂(Doping)。

  掺杂工艺十分重要,只有掺杂之后,晶圆才会具有导电性能,才能称之为半导体。不仅如此,掺杂也决定了半导体能够实现哪些功能或性能,通过改变半导体的电导率、载流子类型和浓度、能带结构等电学性质,人们就可以自由自在地控制半导体。

  就比如说,向硅材料内掺入五价元素磷或砷,就可以得到N型半导体,掺如三价元素硼,就可以得到P型半导体。

  芯片制造中,可在前期单晶生长阶段掺入杂质,如在CVD法生长过程中掺入特定施主或受主元素,使薄膜部分原子替换为对应元素。

  对于已经制造出来的晶圆,则主要使用热扩散(Diffusion)和离子注入(Implant)两种工艺进行掺杂,在本文热处理设备部分已提到,热扩散工艺因其难以实现选择性扩散,所以除特定工艺外,基本被离子注入所取代。

  离子注入的原理非常简单易懂,就是利用高能量电场加速杂质离子,直接轰击到半导体表面,最终挤入晶体内部。离子注入设备就像神枪手一样,把各种元素精准均匀地射击至圆片内部,而这也正是离子注入设备的技术难点,即在不损伤微小结构的前提下精准控制掺杂剂量和掺杂深度(粒子射程)。

  根据离子束能量范围和束流大小,离子注入设备拥有低能、中能、高能、兆伏、小束流、中束流、高束流之分。不过实际应用中,60%以上情况使用低能大束流离子注入设备,用来制造逻辑芯片、DRAM、3D NAND和CIS芯片等;18%使用高能离子注入设备,用于制造功率器件、IGBT、5G射频、CIS等;20%使用中束流离子注入设备。[48]

  离子注入设备在制造设备中价值比重并不大,约为3%,2021全球离子注入设备市场约为24亿~26亿美元,长期估计2030年市场可成长至42亿美元。[50]

  全球离子注入设备商仅有9家(包含半导体、光伏、面板),具体到半导体领域则被美国应用材料公司(AMAT)和美国亚舍立科技(Axcelis)所垄断,两家公司合计全球市场占有率将近88%。[49]

  国内市场方面,仅有凯世通和中科信两家可生产离子注入机,此外,美国应用材料公司(AMAT)、美国亚舍立科技(Axcelis)、美国AIBT、日本住友(Sumitomo)等供应商包揽了国内大多数晶圆厂的市场份额,部分代表性晶圆厂国产化率仅1%~3%。[50]

  随着制程不断缩小,衡量晶体管的尺度越来越小,所以晶圆对平坦度要求也变得越来越高,这种情况下,就需要化学机械平坦(Chemical Mechanical Polishing,CMP)工艺,实现晶圆表面平坦化。

  顾名思义,CMP就是协同化学腐蚀和机械研磨的一种工艺,与传统纯机械与纯化学不同,CMP能够有效避免纯机械的表面损伤和纯化学的抛光速度慢、表面平整度和抛光一致性差等缺点[51],这项工艺会在芯片制造中多次重复使用,包括光刻前、薄膜沉积后或先进封装中。

  可以说,制程节点越小AG九游会,需求CMP次数越多。如从180nm到14nm,CMP工艺步骤数将从10次增加至20次以上,而7nm工艺中CMP步骤数甚至超过30次。[52]

  CMP设备分为金属和非金属两种机台,非金属机台主要包括金属间介平坦化 (IMD CMP) 、层间介质平坦化 (ILD CMP) 和浅沟槽隔离平坦化 (STI CMP) 等,金属机台主要包括铜、钨、铝等。

  CMP设备由抛光、清洗、传送三大模块构成,并其作业过程中,抛光头将晶圆待抛光面压抵在粗糙抛光垫上,借助抛光液腐蚀、微粒摩擦、抛光垫摩擦等实现全局平坦化,因此抛光液和抛光垫是工艺过程中两大耗材。[53]

  CMP技术是从0.35μm制程节点才开始大规模应用的新工艺,曾经,它也只是一个不被看好的丑小鸭。

  20世纪90年代初期,由于光刻对于平坦度的追求愈发强烈,CMP应运而生并被用于后端(BEOL)金属连线层间介质的平整,此时这项技术并没有引起行业的关注。20世纪90年代中期,0.35μm制程工艺时期,浅槽隔离抛光(STI CMP)取代了LOCOS,钨抛光(W CMP)则取代了反刻蚀(etch back)。21世纪初,铜抛光(Cu CMP)的出现,使得0.13μm后端铜制程变为现实,而后Cu CMP一直被延续使用到90/65/45/32/28/22nm。近年,随着制程节点不断缩小,对于CMP要求更高,新的CMP应用层出不穷。[54]

  目前,最先进的5/3nm制程也仍然在采用CMP技术,同时12英寸晶圆是仍是最先进制程所采取的尺寸标准,因此CMP设备未来较长时间内不存在技术迭代周期,但设备中核心模块技术和控制系统会不断升级。[55]

  CMP设备在半导体设备价值链中占比约为3%,而美国应用材料和日本荏原合计包揽全球CMP设备超90%市场份额,两家公司CMP设备均已达到5nm制程工艺水平,我国绝大部分的高端CMP设备也由美国应用材料和日本荏原提供。[55]

  国内方面,目前主要为中低端产品,12英寸高端CMP设备处在产品验证阶段,其中,华海清科CMP设备已正式进入集成电路生产线,盛美半导体CMP设备主要用于后段封装的65~45nm铜互联工艺,由中电科45所CMP技术专家创业建立的杭州众德公司也正逐渐迈向新一步。[51]

  半导体中的清洗技术是指在氧化、光刻、外延、扩散和引线蒸发等半导体制造工序前,采用物理或化学方法,清除污染物和自身氧化物的过程。

  芯片有着严重的洁癖,这是因为沾污带来的缺陷引起的芯片电学失效,比例高达80%[57]。假若在晶圆制造环节中有污染物未能完全清除,轻则影响晶圆良率,重则导致一整片乃至成批晶圆报废。

  清洗能够贯穿了芯片制造的全产业链,占据30%以上的半导体制造工序步骤。SEMI数据显示,在80nm~60nm制程中清洗工艺共有约100个步骤,而到了20nm~10nm 制程中清洗工艺增加到200个步骤以上[58]。也是重复次数最多的工序,包括三类工序:

  根据清洗介质的不同,半导体清洗分为湿法清洗和干法清洗,前者采用去离子水和化学溶剂,辅以超声波、加热、真空等物理方法,对晶圆表面进行清洗,后者不使用化学溶剂的清洗技术。其中,90%的清洗步骤使用的都是湿法清洗技术,不过二者缺一不可,各自发挥不同作用。

  清洗设备在半导体设备价值链中占比约为6%,从国际和国内清洗设备现状来看,马太效应显著。全球半导体清洗设备市场呈现高度集中的态势。Gartner数据显示,DNS(迪恩士)、TEL(东京电子)、SEMES与LAM(泛林半导体)分别占据2020年全球半导体清洗设备市场份额的45.1%、25.3%、14.8%和12.5%。[59]

  国内能提供半导体清洗设备的企业非常少,主要包括盛美半导体、北方华创、芯源微及至纯科技四家公司,目前四家国产企业均已具备130nm~28nm主流制程清洗设备技术,其中盛美半导体已在研7/5nm清洗设备技术。

  相比其它种类半导体设备,清洗设备国产增速明显,国产化率从2015年的15%提升到了2020年的20%[60]。国内短板主要在于先进湿法清洗设备,DNS(迪恩士)、TEL(东京电子)、LAM(泛林半导体)与SEMES四家公司就包揽了单片清洗设备市占率的90%。

  集成电路生产工艺复杂,仅前道制程就存在数百道工序,量变引发质变,每道工序的缺陷都会随时间推移而被放大到数倍甚至数十倍,所以只有保证每道工序都不存在缺陷,才能保证最终成品的性能。

  换句话说,生产每走一步,就要用查看一次生产情况。就像医疗领域的CT、彩超、生化分析仪等辅助检测身体状况的设备一样,这些给芯片前道工艺“体检”的工具就是检测和量测设备。

  几纳米的误差、尺寸变化、颗粒或图像错误,都会导致芯片无法正常工作,假若前道工艺每道工艺良率损失0.1%,最终良率就会降低到36.8%[61]。检测和量测设备作为前道检测两大设备,能够有效控制制造过程,提高产量。

  检测设备:用于检测晶圆表面缺陷(包括异物缺陷、气泡缺陷、颗粒缺陷等),分为明/暗场光学图形图片缺陷检测设备、无图形表面检测设备、宏观缺陷检测设备等;

  量测设备:用于测量透明/不透明薄膜厚度、膜应力、掺杂浓度、关键尺寸、光刻套准精度等指标,对应设备分为椭偏仪、四探针、原子力显微镜、CD-SEM、OCD-SEM、薄膜量测等。[62]

  半导体检测和量测设备研发难度高,投入大,但市场空间不如中下游集成电路或芯片那般大,且增速较为平稳。不过,它在制造设备中价值比重约为12%,相对占比较大。

  数据显示,全球半导体量测设备将从2021年的73亿美元提升至2031年的133亿美元,年复合增长率6.2%,同时这一领域全球集中度极高,科磊半导体(KLA)、应用材料(Applied Materials)、日立(Hitachi)三家全球市场占比分别为50.8%、11.5%、8.9%。[63]

  我国半导体检测与量测设备国产化率极低,2020年我国半导体检测和量测设备国产化率约为2%,科磊半导体、应用材料、日立三家公司分别占据我国检测和量测设备市场的54.8%、9.0%、7.1%。而我国整体市场占全球市场约27.4%,根据推算,2023年我国检测和量测设备市场规模能够达到326亿元。[64][65]

  材料是生产芯片的基石,从古至今,历史的发展与材料密切相关,各个时代都以相应的材料名称作为其标志,如石器时代、陶器时代、青铜器时代、铁器时代、瓷器时代[66],而放眼当下,卡脖子的35项技术几乎都与材料有关。[67]

  半导体行业无疑是把材料玩出花的行业,涉及的材料品类和需求量都非常大,而这些材料也会是前期加工至关重要的一环。

  半导体材料分为晶圆制造材料和封装材料两种,前期加工消耗的材料主要包括硅材料、靶材、CMP抛光材料、光刻胶、湿电子化学品、电子特种气体、光掩膜等。[9]

  SEMI数据显示,全球半导体材料占整体行业市场规模从2015至2021年呈先降后升的趋势,2021年全球半导体材料市场约占全球半导体产业总规模的11.56%[9]。2022年全球半导体材料市场销售额达727亿美元,相比2021年的668亿美元增长8.9%,其中晶圆制造材料和封装材料销售额分别为447亿美元和280亿美元,分别占全球材料市场销售额的61.5%和38.5%。此外,2022年中国大陆材料销售额为129.7亿美元,占全球市场约17.8%。[68]

  半导体行业的硅料消耗量极大。统计显示,2015年~2021年国内半导体硅料市场规模从101.6亿元升至250.5亿元,复合增长率达16.2%,目前,国内半导体硅料生产企业技术水平进步明显,且能够在国内市场维持较高占比。[9]

  半导体硅料价格与半导体硅片行业景气度挂钩,SEMI数据显示,硅料价格从2016年0.67美元/平方英寸增长至2021年价格0.98美元/平方英寸。[9]

  光掩模是光刻工艺中重要材料,用于选择性阻挡曝光、辐照或物质穿透的掩蔽模版。简单解释来说,掩模版是光刻过程中的底片,能将上面的图案复制到晶圆上。按用途,掩模版分为主掩模、中间掩模、工作掩模、移相掩模等。

  如今媒体甚至正式刊物中,经常出现错误的写法,如“掩膜版”或者“掩模板”,实际上,它的规范写法是“掩蔽模具”的“模”,不应该写成“薄膜”的“膜”,同时掩模版的“版”是“出版的版”,而非“板材”的“板”。[26]

  掩模版自身,也是由光刻工艺而来,但与芯片不同,掩模版本身要比芯片大得多,所以通常不会使用DUV、EUV光刻那样又难又贵的光刻技术,而是采用光学图形发生器、激光图形发生器和电子束图形发生器进行掩膜图形曝光。[26]

  掩模版约占12%全球半导体材料市场,据推算,2021年全球掩模版市场规模为77.16亿美元,其中24%为显示面板用掩模版,65%为集成电路用掩模版。[69]

  平板显示方面,Photronics(福尼克斯)、SKE、HOYA(豪雅)、LG-IT、清溢光电、路维光电分别占据2020年全球市场份额的24%、22%、21%、21%、7%、5%;集成电路方面,全球65%的市场是由半导体厂商自行生产(如英特尔、三星等),第三方公司Photronics(福尼克斯)、Toppan(凸版印刷)、DNP(大日本印刷)分别占据2020年全球市场份额的11%、10%、8%。[70]

  光刻胶,又称“光致抗蚀剂”,是光刻成像的承载介质,可利用光化学反应将光刻系统中经过衍射、滤波后的光信息转化为化学能量,从而把微细图形从掩模版转移到待加工基片上。其被广泛应用于光电信息产业的微细图形线路的加工制作,是微细加工技术的关键性材料。

  从用量上来说,溶剂(主要为丙二醇甲醚醋酸酯,简称PMA)是用量最大的材料,含量最高可达90%,但在成本上并不突出,且不起关键作用;作为光化学反应的核心部分,光引发剂的用量仅有约1%~6%;树脂则在不同光刻胶产品中的用量区别很大。[71]

  从成本看,在半导体光刻胶领域,越先进的工艺,树脂成本占比越高:以 KrF(氟化氪)光刻胶为例,树脂成本占比高达约75%,感光剂约为23%,溶剂约为2%。[72]

  根据曝光波长不同,半导体光刻胶可进一步分为普通宽普光刻胶、g线nm)、i线nm)、以及最先进的 EUV(13.5nm)光刻胶。

  其中,ArF光刻机涉及干法和浸没式两种工艺(区别在于镜头和光刻胶之间的介质是空气还是液体),ArF光刻胶也对应分为干法和浸没式两类。EUV光刻胶则是制造难度最高的产品,也是7nm及以下制程芯片加工过程中的核心原材料。

  2019年全球光刻胶市场规模预计约91亿美元,自2010年至2019年年复合增长率约为5.4%,推算2021年数据为百亿左右;同期中国本土光刻胶市场规模约在88亿人民币左右[73]。而其中,全球的半导体光刻胶市场规模约为17.5亿美元。[74]

  细分市场方面,ArFi光刻胶(即浸没式ArF光刻胶)和KrF光刻胶市场份额最大,均在30%以上,其次是g/i光刻胶,市场份额约为17%,EUV及其它类型半导体光刻胶合计仅有1%左右。但从未来发展看,作为代表着先进集成电路发展趋势的EUV光刻的关键耗材,EUV光刻胶对将芯片制程推进至5nm以下起关键作用,成长性无疑是最好的。[75]

  整体业态方面,全球光刻胶市场高度集中,日美把控着绝大部分市场份额。日本的JSR、东京应化、信越化学及富士胶片四家企业占据了全球70%以上的市场份额,整体垄断地位稳固。[75]

  全球半导体光刻胶市场中,日本企业稳居垄断地位。2020年,日本企业在全球半导体光刻胶市场中占据的份额至少在60%以上,其中东京应化以25.6%的市场份额占据龙头地位;美国杜邦位列第二,市场份额为17.6%。细分市场中,2020年,日本东京应化在g/i线、KrF和EUV光刻胶市场的份额位列全球第一;JSR则以24.9%的市场份额把持着ArF光刻胶市场。[74]

  国内方面,相对低端的PCB光刻胶仍然占国内94%左右供应,而高端面板光刻胶与半导体光刻胶则非常之少[76]。具体到半导体,目前适用于6英寸硅片的g线英寸硅片的KrF光刻胶自给率不足5%,而适用于12寸硅片的ArF光刻胶基本依赖进口,更先进的EUV则连研发都处于相当早期的阶段[77]。产能上,国内企业的产品,仅g/i线光刻胶实现批量应用,KrF仅少数研发进度领先企业实现小批量应用。

  任何电子产品都逃不开电子气体,它是重要的基础性原材料,被喻为工业制造的“血液“或”粮食”。电子气体仅占芯片总成本的5%~6%[78],但下游厂商对电子气体的需求具有刚性和稳定性,导致对价格的敏感度较低,从而使电子气体拥有较强的成本转嫁能力。

  电子气体分为电子特种气体和电子大宗气体两大类[79],二者对制造都极为重要。电子大宗气体与特种气体应用广泛,涉及集成电路制造、光伏制造、显示面板制造等领域,不同领域间所用气体存在一定交集。其中,三氟化氮(NF3)、硅烷(SiH4)和氨气(NH3)是集成电路制造、光伏制造、显示面板制造领域的三大主要气体。

  电子产品都是大胃王,一款产品需要几十甚至上百种电子气体。以集成电路为例,涉及电子气体约100多种,核心工段约40~50种[80]。虽然每种气体用量并没有很大,但种类繁多,整体用量就变大了。从全球市场占比来看,硅烷约为22%,三氟化氮为13%,离子注入气为10%,氟碳类为6%,六氟化钨为4%,笑气为4%,锗烷为3%,高纯氨为3%以及大量其它气体。[81]

  目前,美国空气化工、德国林德集团、法国液化空气、日本大阳日酸四家海外巨头占据了全球约九成市场[82]。我国所用电子气体以外资为主,虽然国内部分企业已具备生产高纯电子气体的能力,却很难进入集成电路领域[83],集成电路所用的电子特气我国仅能生产约20%品种[84],国产化率不足15%[85]。与此同时,国内高端电子特气几乎全部依赖进口。[86]

  我国电子大宗气体2020年市场规模为1632亿元,同比增长10.49%,预计2023年有望突破2000亿元,达到2172.2亿元,由于细分行业壁垒高,目前国产化率较低[88];2021年中国特种气体市场规模预计达342亿元,其中电子特气预计达216亿元,电子特气占特种气体接近6成。[82]

  对比国内外发展情况,国际厂商供应客户均为尖端制造厂商,产品品种覆盖面更广,供气模式也更丰富,大部分可提供TGM供气模式。国内则缺乏高端气体技术,同时仅少部分公司拥有TGM模式。从技术角度来看,虽然我国已在气体提纯技术、容器处理技术、气体充装技术和检测技术上达到国际通行标准[89],但整体水平仍与国际存在差距。

  工艺化学品(Process Chemicals)是国际的一种统称,在美国、欧洲和中国台湾地区又被称为湿化学品,而在国内则也“电子级试剂”“超净高纯化学试剂”等更精准的表达方式。本文主要使用湿电子化学品这种行业通用的称呼。

  湿电子化学品极为重要,SEMI(国际半导体设备与材料协会)也将其单门划分为一类进行统计,它影响着集成电路的成品率、电性能及可靠性[90]。此外,从28nm到5nm总工艺步骤将由400次增加至1200以上,其中清洗工艺占总工艺25~30%,进一步带动高端湿电子化学品需求量。[91]

  顾名思义,湿电子化学品是用于光刻、刻蚀、离子注入、CMP、清洗等制造工艺中的各种液体,可划分为通用湿电子化学品和功能湿电子化学品两类。

  与电子气体类似,湿电子化学品也追求高纯度。目前,全球均执行SEMI国际标准,根据金属杂质、控制粒径、颗粒数、IC线宽分级,不同分级适用应用不同。

  目前,国际大规模湿电子化学品生产企业包括德国巴斯夫(Basf)、美国亚什兰(Ashland)、美国Arch化学、美国霍尼韦尔(Honeywell)、美国Mallinckradt Baker、日本关东化学、日本三菱化学、日本京都化工、日本住友化学、日本和光纯药工业 (Wako)、日本stella-chemifa、韩国东进等。我国主要企业则包括多氟多材料、江阴江化微、江阴润玛、苏州晶瑞、浙江凯盛氟、沧州信联、无锡三开、镇江润晶等。[93]

  数据显示,2022年全球集成电路用湿化学品市场规模为56.90亿美元,2025年则可增长至63.81亿美元,其中中国总体市场规模将在2025年增长至10.27亿美元。[91]

  虽然我国湿电子化学品已在太阳能电池领域已实现国产替代,但在半导体和平板显示领域市占率仅有23%和35%,此外,2019年中国大陆企业在超净高纯化学品市场供应上仅占中国市场的9%,超净高纯试剂无论是在质量上,还是数量上都难以满足电子工业需求。[94]

  溅射靶材是沉积薄膜的原材料,而溅射(Sputtering)工艺则属于物理气相沉积(PVD)技术的一种。

  溅射靶材应用广泛,包括半导体芯片、平板显示、太阳能电池等。针对不同领域,也对金属材料提出了不同性能要求。

  一般来说,半导体芯片对溅射靶材要求最高,其对金属材料纯度、内部微观结构等方面设定了严苛标准,包括铝靶、钛靶、铜靶、钽靶、钨钛靶等,纯度要求一般在5N(99.999%)以上。

  溅射靶材产业各环节参与企业数量基本呈金字塔型分布,最高端应用的高纯溅射靶材难度极高,仅日矿金属、霍尼韦尔、东曹、普莱克斯等少数几个美日企业能够生产[95],四家公司合计占据80%以上全球市场。[97]

  此外,溅射靶材各国发展也不同。美日企业拥有最完整的溅射靶材产业链,韩国、新加坡则在磁记录及光学薄膜领域有所特长,我国则起步较晚,主要拥有江丰电子、有研新材、阿石创、隆华科技四家企业,目前已有部分企业初步实现高端应用溅射靶材。

  CMP抛光液是CMP过程重要耗材,约占CMP成本的50%,主要由磨料、去离子水、PH值调节剂、氧化剂及分散剂等添加剂组成,其中纳米磨料是决定性原料,多为硅溶胶、SiO2、CeO2、纳米级Al2O3颗粒等。[90]

  2022年全球晶圆制造用抛光液市场预计超20亿美元,2026年可达到26亿美元。国内方面,增速有望高于全球市场,2025年国内抛光液市场有望占全球市场的25%,达40亿元人民币,2021年~2025年复合增长率达15%。[91]

  目前,全球仅有少数几家化学机械抛光浆料供应商,包括Cabot、Versum、Hitachi、Fujimi和Dow五家美日厂商,五家厂商合计占据2018年全球CMP抛光液近八成市场份额,而国内仅安集科技仅占全球2.44%市场份额[98]。国内市场Cabot也占据了大部分市场,而其磨料直径可达15~20nm。

  反观国内,CMP抛光液国产化率约5%,主要企业包括安集微电子、上海新安纳电子、北京国瑞升科技。其中,安集微电子部分产品成功进入国内外8英寸和12英寸芯片生产线,上海新安纳电子作为二氧化硅纳米磨料供应商,产品已成功应用于8英寸和12英寸晶圆抛光,海新安纳则在存储抛光液上取得进展。[13]

  当前期加工完毕,离芯片就只差一步,此时刚刚从晶圆上切下来的芯片只是裸芯片(die),需要进一步封装,才能称之为芯片,最后经过测试的芯片才能出厂到我们手里。

  在行业中,封装和测试多被划入一个领域,即封测 (Semiconductor assembly and test manufacturing,ATM) ,工艺流程包括划片、装片、 键合、塑封、去飞边、电镀、打印、切筋和成型、外观检查、成品测试、包装出货等。[99]

  简单来说,就是在一颗颗芯片从刻好电路的晶圆上切割下来前,测试一遍各种参数,通过测试后,再像装香肠一样,封装成芯片,之后再测试一遍芯片的性能。

  按国际主流思想,芯片制造成本中,设计约占三分之一,芯片制造约占三分之一,而封装和测试也占约三分之一,是芯片制造中重要一环[100]。而其中,封装环节的价值占半导体封测比例约80%~85%,测试环节占15%~20%。[101]

  半导体封测是我国最早转型的制造环节,迄今为止,它已成为我国集成电路产业链中相对成熟的环节。早在2010年,我国就已在封装测试环节实现632亿元的销售额,其产值一度占据我国集成电路产业总产值的70%以上。[99]

  与前道工艺相同,封装和测试也需要设备和材料。根据SIA分类,后道工艺主要包括封装设备和测试设备两类,耗材则主要是封装材料。[60]

  芯片又小又薄,如若不施加保护,很容易便会刮伤损坏。封装就相当于是给芯片做了一层保护壳,并预留好各种接线引脚,方便后期连接使用。[102]

  封装的方式多种多样,传统封装会耗费相当大体积,此外,当不同功能集成电路都整合在一起时,电路的间距越小,性能才会越好,所以行业不断探索更为先进的封装方式。通俗来讲,就是把这层壳做得越来越轻薄,越来越紧凑。

  从创新角度看,封装分为传统封装和先进封装。传统封装包括最初的直插型封装DIP、小外形封装SOP、方型扁平式封装QFP、球栅阵列封装WB BGA等;先进封装相比传统封装,效率更高、性能更好、成本更低,同时以小型化、轻薄化、窄间距、高集成为特点,包括倒装FLIP-CHIP、晶圆级封装WLCSP、扇出型封装INFO以及2.5D/3D等。[103]

  相比传统封装,先进封装无疑才是未来发展的重点,它是突破存储墙面积墙功耗墙功能墙这四堵墙的关键。早在2012年就有研究预言,采用2.5D和3D封装技术的集成电路将从2012年约6000万颗发展到2016年超5亿颗。[100]

  此外,先进封装也Chiplet(小芯片,又称芯粒)息息相关。Chiplet指的是将单颗片上系统级芯片(SoC)的特定功能分散成一个个小芯片,再利用封装技术整合在一起,构成多功能异构系统级封装(SiP),就像搭积木一样,可以有效缩短产品开发周期,降低整体成本,提高器件算力,提升产品良率。[104]

  目前,芯片封装存在多种玩家,包括整合元器件制造商(IDM)、晶圆代工厂(Foundry)以及委外封测代工厂(OSAT)。

  当芯片制程发展逐渐触及摩尔定律的底线D封装、扇形封装(FOWLP/PLP)、微间距焊线技术以及系统封装(SiP)成为延续摩尔定律的可选项,封测行业也逐渐从传统封测向先进封测过渡,先进封装技术在市场上的占比不断提升。

  Yole数据显示,2021年全球先进封装市场规模为374亿美元,预计2027年可达650亿美元,年复合增长率达9.6%,此外,先进封装市场增长将更为显著,成为全球封测市场主要增量。[106]

  从全球委外封测(不包含IDM自有封测和晶圆代工公司提供封测)角度来看,芯思想研究院(Chip Insights)数据显示,2022年全球委外封测整体营收为3154亿元,同比增长9.82%,其中前十强营收达2459亿元,同比增长10.44%。[107]

  2020年,我国封装市场规模达到2509.5亿元,其中先进封装市场规模351.3亿元,占比例约14%,预计2025年我国先进封装市场规模将达到1137亿元,占比将达32.0%。[108]

  封装工艺流程较长,包括划片、装片、键合、打线、塑封、电镀、上球、打标、切筋成型等工序[109],每种工序都需要一种设备,主要包括磨片机、划片机、固晶机、键合机、塑封设备、打标设备等。

  从细分市场来看,贴片机、划片机、引线机三大主要封装设备占全球封装设备市场份额分别为30%、 28%、23%,据推算2021年贴片机、划片机、引线]

  SEMI数据显示,2021年全球半导体封装设备市场规模为71.7亿美元[111],其中大部分市场由国际寡头垄断,其中K&S球焊机全球市占率达64%,Disco划片机和减薄机全球市占率达2/3以上,Besi、 ASM Pacific垄断装片机市场,Besi、日本Towa、ASM Pacific和日本Yamada是塑封系统主要品牌。[110]

  国产方面,封测设备国产化率不足5%,低于制程设备整体10%~15%的国产化率[109]。其中,划片机以中国电子科技集团公司第45研究所、武汉三工光电、江苏京创、 沈阳和研和郑州琦升为代表,固晶机以新益昌、艾科瑞思、大连佳峰为代表,塑封设备以文一三佳、安徽耐科装备为代表。

  测试设备穿插在封装工艺的一前和一后,即晶圆检测(CP,Circuit Probing,又称中测)和成品测试(FT,Final Test,又称终测)。

  简单来说,就是在一颗颗芯片从刻好电路的晶圆上切割下来前,测试一遍各种参数,通过测试后,再像装香肠一样,封装成芯片,之后再测试一遍芯片的性能。

  测试设备包括测试机(Tester)、探针台(Prober)、分选机(Test Handler)三种,无论是晶圆检测还是成品测试,测试芯片均需先将芯片引脚与测试机功能模块相连(探针台和分选机的作用),再通过测试机向芯片输入信号,并检测输出信号。[112]

  三种测试设备中,测试机市场更大,技术壁垒也更高,不止如此,客户还对测试精度、响应速度、存储能力、采集分析能力、应用程序定制化、平台延展性等方面提出越来越高的要求。

  半导体封测是我国最早转型的制造环节,迄今为止,它已成为我国集成电路产业链中相对成熟的环节。早在2010年,我国就已在封装测试环节实现632亿元的销售额,其产值一度占据我国集成电路产业总产值的70%以上[113]。而在2020年,我国半导体测试设备市场规模达到91.4亿元,并且连续多年成为全球最大半导体销售市场。

  虽然看似一片繁荣,但实际核心的测试机国产市占率较低。通过查看2015年到现在国内封测厂商长电科技公开招标信息,测试机主要以海外头部厂商为主。

  2019年,美国泰瑞达(Teradyne)、日本爱德万(Advantest)两大龙头全球合计市占率达到90%,占据国内测试设备市场将近91.2%的市场份额,此外,美国科休(Cohu)、美国安捷伦(Agilent)、美国科利登(Xcerra)等厂商也长期盘踞位居前几。反观国内本土市场,华峰测控占比国内市场份额仅6.1%,长川科技为2.4%。[114]

  相比来说,爱德万、泰瑞达早在20世纪60~70年代进入半导体测试领域,我国则起步较晚,所以产品线单一,侧重于模拟/混合测试机,海外厂商则在SoC测试机、存储测试机、模拟/混合测试机三大种类均有涉猎。

  探针台方面,Tokyo Electron和Accretech占据全球73%份额,惠特科技(Fittech)、旺矽科技(MPI)两家中国台湾企业占据剩余市场份额大部分空间。[114]

  封装材料按类型可分为陶瓷、金属和聚合物三大类。其中,90%以上使用的是塑料,陶瓷和金属合计占比约为10%。[115]

  按用途,封装材料分为封装基板、引线框架、键合丝、塑封料四大主材,全球市场占比分别为32.46%、16.75%、16.23%和6.81%AG九游会。

  封装基板:日韩企业市占率将近达到90%,国内主流基板厂包括深南电路、珠海越亚、兴森科技、丹邦科技;

  引线框架:三井高科、新光电器、SDI、ASM、长华科技、HDS、宁波康强几家企业市占率分别为12%、10%、9%、9%、8%、7%、4%,国内企业主要包括宁波康强、宁波华龙、厦门永红、广州丰江微电子、深圳富美达、无锡华晶利达、济南晶恒山田、泰州市永志、宁波埃斯科光电、四川金湾电子、天水华洋、天水华天、泰州东田、铜陵丰山三佳等,虽然企业较多,但依然没有形成产业集群,且技术落后;

  键合丝:日本田中贵金属、新日铁、德国贺利氏、韩国MKE、Heesung等国际厂商占据主要市场,国内键合丝生产企业共有二十几家,代表企业为贺利氏、田中等,但缺乏对于新技术的掌控力;

  塑封材料:95%以上集成电路都使用塑料封装,而其中又有97%以上都是环氧树脂,塑封材料日本住友电木、日立化成、京瓷化学、信越化学、松下电工、韩国三星Cheil占据主要市场,国内拥有二十几家塑封料生产商,但缺乏高端产品。[13]

  SEMI数据显示,2022年~2027年,全球半导体封装材料市场规模将从261亿美元增长至298亿美元,年复合增长率达2.7%。[117]

  不可否认的是,虽然国内陆续涌现一批有实力的制造企业,但相比国际仍存在5年以上的技术落差,缺乏高端技术和产品。芯片制造从晶圆制造、前期芯片加工到封装测试层层相扣,但凡其中一个环节存在落后,都会成为国产芯片制造的痛点。[118]

  业界也普遍认为,国产半导体设备自给率低主因在于系统、终端、制造和封测厂商习惯性采购国外大厂产品,造成本土设备难以自证自身实际生产制造能力。[119]

  首先,半导体晶圆制造属规模经济行业,必须在不同地区建立多座制造工厂以充分利用闲置资源,如台积电在中国、美国、新加坡等地均设有晶圆厂。

  其次,晶圆厂一经建立,必须保持全年每日24小时不停歇运转,长时间持续生产难免产生性能下降、故障率增加等问题,预防性维护是做晶圆厂的必修课。

  另外,晶圆厂对加工工厂的空气洁净度、湿度、温度等指标都有严格的要求,要知道芯片制造的无尘室内洁净度能够达到医院手术室的100000倍。

  最后,制造业属高耗能产业,生产过程产生大量污染环境的有害气体和液体,废水废气处理和低碳都是关键。[120]

  可以说,芯片制造是国产芯片的最为重要的推动力,只有当我们全面拥抱制造,才能真正支撑起来芯片设计和应用等环节,而这将会是不断的投入和企业不断的整合并购。

  [1] 王彪,彭琳,昌道励. 粤芯半导体副总裁李海明:补齐芯片制造短板 跨领域创业机遇多[N]. 南方日报,2021-07-16(A08).

  [5] 国信证券:半导体系列报告之四:半导体硅片摩尔定律演进,半导体硅材料历久弥新.2022.3.8.056_1.pdf?00.pdf

  [21] 任泽生.匀胶显影设备工艺原理、结构及常见故障分析[J].电子技术与软件工程,2022(15):128-131.

  [33] VanZP.芯片制造:半导体工艺制程实用教程[M]. 赵树武,朱践知,于世恩,等,译.北京:电子工业出 版社,2004.

  [39] 轩中.中国芯片产业上的亮点——芯片刻蚀机生产企业调研[J].互联网周刊,2018(11):28-29.

  [61] 陈炳欣.全球将新建多座晶圆厂 半导体测试市场迎来黄金时代[N].中国电子报,2022-02-15(008)

  [89] 张凯,张念椿,魏永明,马晓华,杨虎,庄黎伟,汤初阳,李金荣,郑鹤立,许振良.电子化学品分离纯化材料制备及其应用技术进展[J].山东化工,2022,51(22):73-77+80.

  [98] 国海证券:国内CMP抛光液龙头,深度受益国产替代——安集科技(688019)深度报告.2021.1.4

  [102] 陈芳,董瑞丰.“芯”想事成:中国芯片产业的博弈与突围[M]. 人民邮电出版社.2018.P377-378

  [118] 王彪,彭琳,昌道励. 粤芯半导体副总裁李海明:补齐芯片制造短板 跨领域创业机遇多[N]. 南方日报,2021-07-16(A08).